Ш̴̴̜̥͍͕̼̙̱͙͎͍̘̀̐̔́̾̃͒̈̔̎́́͜р̧̛̺͖͖̯̖ͧͤ͋̅̽ͧ̈̐̽̆̐͋ͤͦͬ͛̃̑͞͞и̒ͥͤͯ͂ͣ̐̉̑ͫ̉̑҉̛͏̸̻͕͇͚̤͕̯̱̳͉ͅф̴̴̡̟̞͙̙̻͍̦͔̤̞̔̓́̍͗̚͢͞ͅт̨̐ͫ̂͊̄̃ͥͪ͏̫̺͍̞̼͈̩̥̜͔͜͜ы̸̴̱̺̼̠̦͍͍͍̱̖͔̖̱͉̅͑͌͒ͫ͒̀ͥ͐ͤ̅͘̕.̵̴̡̭̼̮͖͈̙͖͖̲̮̬͍͙̼̯̦̮̮ͦ̆̀̑̌ͮͧͣͯ̔̂́͟г͌ͮ̏̈͂ͯ̚҉̛̙̬̘̲̗͇͕̠̙͙̼̩͚̀͘͞ͅо̷̥̯̘̓ͤ̽͒̋̉̀̂̄̒̓̊ͨ͛́̌ͤ̂̀͠в̶̒͒̓̏̓̚҉̛̙̘̺̰̮̼̟̼̥̟̘̠̜͜н̸̷̸̲̝͈͙̰̟̻̟̰̜̟̗͎̻̻͍̿̔̃ͨ͑о̔̀̋ͫ̇̿̐ͫ͌͗ͩ҉̨̜̙̙͈͍̮̮̼̙̘̞̕͜͡ Войти !bnw Сегодня Клубы

http://juick.com/wavycasual/?tag=python спалите ему жс

Рекомендовали: @kurkuma
#L9Z9IR / @238328 / 3922 дня назад

заебал ссылками срать, отписался
#L9Z9IR/1LM / @anonymous / 3922 дня назад
@anonymous сорь((
#L9Z9IR/PHP / @238328 --> #L9Z9IR/1LM / 3922 дня назад
зачем люди пишут на языках которые не могут терпеть, неосиляторы штоле
#L9Z9IR/FEZ / @mugiseyebrows / 3922 дня назад
@mugiseyebrows так у него основной бугурт не столько от языка, он багорит от того, что в его редакторе надо после переноса строки вручную набивать пробелы (а их может быть много, например на 3 уровне вложения будет уже ~3-12), ну и вообще карочи идиотизм и жуйк
#L9Z9IR/M4C / @238328 --> #L9Z9IR/FEZ / 3922 дня назад
@238328 >в его редакторе надо после переноса строки вручную набивать пробелы проиграл
#L9Z9IR/EUH / @krkm --> #L9Z9IR/M4C / 3922 дня назад
@kurkuma лел, даже нутпад плевс плевс умеет в индент
#L9Z9IR/4NB / @mugiseyebrows --> #L9Z9IR/EUH / 3922 дня назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.