Отдал бы и ползарплаты! Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

Облачные CLOUD технологии. http://www.supersimplestorageservice.com/
#NI2EDG (0+1) / @lexszero / 4658 дней назад
http://dump.bitcheese.net/files/icawudo/web20sux.png Чем больше у меня монитор, тем больше мне хочется убивать за такое.
#KRR7FB (43+1) / @lexszero / 4659 дней назад
алсо, надо притащить на работу ок кружку. я заебался бегать с ебучей чашкой на 100 мл, какой мудак это говно вообще придумал? нахуй нужен сосуд, вмещающий количество жидкости, недостаточное вообще ни для чего?
#WK7GDC (0+3) / @lexszero / 4660 дней назад
Чтобы нормально юзать копроративным емылом на ЫКСЧЕНДЖЕ, приходится юзать ужасный костыль davmail - кусок ЯВЫ, проксирующий спермоблядское HTTP RPC в человеческие IMAP и SMTP. Разумеется, сам эксчендж умеет POP3 (имап зачем-то выпилен одмином) и SMTP без костылей, но по факту SMTP не работает - при любой попытке отправить письмо меня посылает нахуй антиспам. Да, скажите мне заодно, зачем почтопроксе ГУЙ и ЕБАНАЯ ИКОНКА В ТРЕЕ?
#ZGU5BV (1) / @lexszero / 4660 дней назад
http://youtu.be/ve0OOSQ4p0Q АНТИРУФРАЙД
#BLXRID (2+1) / @lexszero / 4660 дней назад
В апстриме ткаббера до сих пор работает тыканье по http://lol.com/;quit
#O8NALL (3+2) / @lexszero / 4661 день назад
Inspired by #1716107@juick Покорми ЛексЗеро! Покорми ЛексЗеро, юзернейм!
#MXEKBC (1) / @lexszero / 4661 день назад
I am the psychotherapist. Please, describe your problems. Each time you are finished talking, type RET twice. Hello Can you elaborate on that? No. I would appreciate it if you would continue. Fuck off. Why do you say fuck off? Because you are FUCKIN TEXT EDITOR.
#A48LYY (0+1) / @lexszero / 4662 дня назад
Запилил будильник на budist.ru. Потестим, чокак.
#WN4KYU (4) / @lexszero / 4663 дня назад
Няши, а посоветуйте-ка инструментального блюзца, вот типа такого: http://youtu.be/1sC35X5J9l0
#L7ZKO8 (0) / @lexszero / 4663 дня назад
Goreshit - nyan (nnnyyyaaannn mix) (bonus track! awright!) кажется, я могу слушать лоликор дольше 10 секунд.
#KTFOMB (0) / @lexszero / 4663 дня назад
Freescale Coldfire запуталась в своих отношениях. Алексей Игнатов не женат.
#A4FUMC (1) / @lexszero / 4664 дня назад
http://okofinista.ru/vredonostnost_inn_i.....chipy.html Одни только названия статей чего стоят. И вообще сайт замечательный во многих отношениях, какие няшные упоротые!
#SQ3KOJ (0+1) / @lexszero / 4664 дня назад
А есть какие-нибудь design guidelines по запиливанию register map периферийных девайсов исходя из спецификации требуемой функциональности? (в моем случае - сетевой PHY, спека в виде "эта фича требует таких входных параметров и выдает сякие выходные") А то я уже третий раз переделываю, основываясь на опыте чтения даташитов и смутных предположениях, как это может быть реализовано на триггерах/защелках/логике, и все равно говно какое-то выходит. Работоспособное, но говно. Или это у меня перфекционизм?
#1EELX3 (0+1) / @lexszero / 4664 дня назад
http://retro-phones.org/publ/retro_obzor.....o/4-1-0-91 Охуенный быдлодевайс!
#C9QJ2P (2+1) / @lexszero / 4665 дней назад
Ах да, нам тут требуется моар кодеров. Израильская контора, проект с нуля, упоротый эмбеддед, стек для 802.15.4 (Lowspeed PAN). Подробнее - http://hh.ru/vacancy/5264742
#CJ45HW (8+1) / @lexszero / 4666 дней назад
Под окнами какой-то уебан играет на трубе, причем херово. Близость арбата штоли так влияет?
#FGHJVY (1) / @lexszero / 4666 дней назад
Лол, хоть какое-то железо в виде прототипа на ПЛИС появится не раньше мая. До этого - курить спеки, писать код и дебагать в уме, ибо проц - кусок верилога, купленный у синопсиса, а эмулятора всего SoC нихуя нету. При этом железо (та же плисина) _с модемом_ появится вообще в июле. Буду качать скиллы "design&architect ебнутых сетевых протоколов", "написание сумасшедших линк-скриптов" и "свиборг-взгляд на код".
#MX826T (0+1) / @lexszero / 4666 дней назад
С послезавтрашнего дня работаю в Mobix Chip и соответственно ежедневно обитаю в центре ДС.
#G1UCWX (2) / @lexszero / 4668 дней назад
--
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.