Я и мой ёбаный кот на фоне ковра. Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

http://www.3dconnexion.com/products/what.....mouse.html Я бы потискал этот манипулятор с шестью степенями свободы.
#FPMJYW (0) / @lexszero / 4648 дней назад
"Если вы проснулись морозным зимним утром и решили сделать что-то новое и леденящее душу - Ваш час настал. Хватит ковыряться с микропотребляющими стабилизаторами и батарейным питанием, сейсмодатчиками с расходом тока 20 мкА и временем работы от батарейки в десять лет - ничего кроме геморроя и близорукости это не принесёт. Время включать стоваттные паяльники* и зачищать провода сечением 10 мм2, прикручивать жидкостные теплообменники и наполнять их антифризом*. ... * Можно и мощнее. Можно и толще. * Можно использовать этанол при температурах от -110 град.С." С любимого electronix.ru, чувак распродает ненужные IGBT на киловольты и десятки ампер в постоянном режиме.
#7DDMM9 (0+2) / @lexszero / 4651 день назад
Решил вспомнить лето и позацепить по дороге домой. Соснул. Надел балаклаву, включил музяку пояростнее, дождался электрички, глянул в заднюю кабину - свет горит, людей нет, ну ок, залез, причем сел лицом к платформе. Вижу - подходят хуй знает откуда двое ментов и начинают чото мне жестикулировать и открывать рот. Ну фиг с ним, вылез обратно на платформу, в принципе мог бы так и уехать, но не хотел встречаться с более агрессивными юнитами на следующих станциях. Забежать в закрывающиеся двери не успел. Снял наушнички, выслушал што-то про куски мяса, менты неторопливо удалились в сторону касс. Зато пока ждал следующую собаку, разведал еще один выход с петрашки, более удобный, в следующий раз попробую заюзать.
#WF5T01 (0) / @lexszero / 4651 день назад
Аськоспамеры delivers: [16:06:35]<480503731> В элитное эскорт @гентство приглашаем мужчин от 18 лет: стриптизеры, парни-атлеты, натуралы и бисексуалы. Гибкий график р@боты, высокие зар@ботки, большая база vip клиенток. Звоните: девятьсот один - пять 1 семь - ноль ноль - ноль семь Может нахуй это ваше ойти, а? МЕНЯ ВОЗЬМУТ?!?!?
#827PEI (2) / @lexszero / 4652 дня назад
vim
http://dump.bitcheese.net/files/ycadili/zomg_vimde.png Чят, я норкоман-вимодрочер-комбаенофил.
#1ATK7O (1+1) / @lexszero / 4653 дня назад
По дороге на работу выдумывал архитектуру сетевого стека, приехал, посмотрел чочо там в прыщиксе, обнаружил что изобрел sk_buff.
#37H1PB (0) / @lexszero / 4653 дня назад
У меня только что произошел первый в жизни сек^Wполностью прочитанный RFC (4944, если интересно).
#57KEDF (0+1) / @lexszero / 4654 дня назад
inspired by https://en.wikipedia.org/wiki/Near-me_area_network [19:44:01]<LexsZero> я джва года хочу такую хуйню, чтоб общаться с людишками в IRL-эпсилон-окрестности, при этом не блюя от хиккихуйни [19:44:45]<LexsZero> юзкейс вроде четыреквадрата, только наоборот. [19:45:27]<LexsZero> еду в метро, вижу няшную тян, запускаю софтину, вижу там тян, каваю в чятике, профит [19:45:47]<wasd> ага, а у неё SieM35 [19:46:27]<wasd> но даже если у неё M35, есть выход, но он сложно реализуем [19:46:40]<wasd> подойти, блеадь ._. [19:46:42]<LexsZero> гсм-геолокация плюс смсочки? [19:46:45]<LexsZero> а, блядь [19:46:50]<LexsZero> ХУЙТА
#C8M9PO (0) / @lexszero / 4654 дня назад
http://we.easyelectronics.ru/part/ultraz.....urata.html - какая няшная штука. Жаль, что недешевая, да и ни одного юзкейса для себя я не придумал.
#UTKV0D (0) / @lexszero / 4654 дня назад
[11:59:17]<TROLLIbHKPJ> : Куры, как элементы амбразуры. Куры, как элемент клавиатуры. Куры, как элемент макулатуры. Куры, как элементы и лемуры. Куры, как элементы и амуры. Куры, как элементы и ажуры. Куры, как элементы серы-буры. Problem? [11:59:19]<L29Ah_> поэтически-активные робаты набигают [11:59:22]--- TROLLIbHKPJ вышел(а) из комнаты: KУD1111!! KУDKUДKУДKUДAKHK1!11!!11! KUД KUD KУD KYДKУDATHHK1!!1!!!!!!1!!1!!1! KУD KUД KУДKYDAHKHT1!!11! KУD!1!!!1!1 KYD KUDKУДKUДKУДAHTK11!!1!1 KYДKUD KUД1111!!! KUДKУД KUD KUDAKTHK!!!!1!!!1!1!11!1!1 KУD KУД!!1!!!KUД!!1!1!1!KYДAKHT1!1!1!!1111!1 KUDKUД KУДATKTK1111!! KYD KUД!11!111!1KУDAHKT KYDKUДKУД KУДKУDKУDAHK!1!!111!!11!!1!1 KYD KUДKYD!!11!!!KUД!!!11!!!KYДKUДKУD!!!1! KYД!!!!1 KУДAHKT!!1!11111!!1111! KУДAKHTK1!1111!1!1! KUДKYД KUД!!1!!!KYД KУД KУDKUD KUD!11!1KYДAHKH1!111!!11111! KУД!1!1! KУD KУДKYDKUDATKTH!1!!!!1!1!111!11!!! KUD!111!!111 KУДKYD KUDKУД!11!!1!1! KUDKUDKУDAHKH1!!1!!!11 KУD1!11!KYDATHH!!11!1!!!1!! KУDKYD!!1!!!!!1KUD KYDATKK!111!1!11!! KYDKУД KUD1!!!!!11 KYDKYДATKT!!!!1!!1!! KУDKUД KYД111!1!!1!KYДAHKH!!11!!111!!!1 KУD111!!!!11 KUD11!11 KYДKУD11111KUDKУDAKTKH1!1111!1!1!!1!!! KUДKУДKYD KYД KUДKUД KUDKУДAKHTK!!!!11 KУД!!!1111KУД KYD1!1!!1KУД!111!!1KUДATHH1!!!!1!!!!!11! KUДAHKHK KUД11!!1KYД11!1!11 KУD KУDAKHK KUD KUDKYД KУDKYD!!1!!KУД!11!!!1KYДKУDATHH1!!!!!1!!1!1!!1 KUDKUД KUD1!!1!111 KУDKUД KUD KYД KУDAHK1111!!11!11! KУD1111!11KУDKYД KUDKUD KУДAHTT1111!1!1!!1!!!!1! KYD KUДKYД KUД KYД KУD!!111!! KUDATKH!!!11!!!!1111111!1 KYДKUD KUD KYD KYDAKTK!1111 KUД KUDKYD1!!!1!! Problem? [11:59:40]<L29Ah_> кто пишет эти тексты? [11:59:43]<L29Ah_> я его люблю
#ACMKJ8 (1) / @lexszero / 4654 дня назад
Вышел на улицу, а там -16. Ну охуеть теперь.
#32HJCD (0) / @lexszero / 4656 дней назад
«Женщина — не человек, а всего лишь самка. Каждая женщина — девка, с которой можно обходиться, как вздумается. Ее жизнь стоит не больше, чем она получает за половое сношение»
#A49MW7 (1+1) / @lexszero / 4656 дней назад
А в природе существуют синтезаторы каких-нибудь HDL'ей на регистровом уровне прямо в схемы из кт315 или 155 логики? :3
#6WBJZK (2+1) / @lexszero / 4657 дней назад
Итак, первая попытка практического тыканья верилога привела к созданию brainfuck-машины с фон-неймановской организацией памяти: http://paste.pocoo.org/show/538134/ - исходник http://paste.pocoo.org/show/538136/ - пример работы (в файлик bf-machine.dump при этом валится полный трейс сигналов, который можно посмотреть gtkwave) Хелловорлд исполняется за 3309 тактов. Реализация достаточно кривая, забит болт на синтезируемость и тайминги (поел говна с гонками немного), но работает. Планируемые апдейты: - синтезируемость - конвееризация (хотя нахуя? и так все в доступ к памяти упирается) - попробовать VHDL
#J3OP66 (0) / @lexszero / 4657 дней назад
Чото не могу придумать, как реализовать брейнфаковые [ ] лучше, чем линейным поиском вперед/назад за соответствующее расстоянию между скобками количество тактов (обращение к памяти - один такт). БРЕЙНФАК СЛОЖНЫЙ!
#K3MIBI (0) / @lexszero / 4657 дней назад
lexs@nyapad ~/tmp/hdl $ cat counter.v module counter(input clk, input reset, output reg [3:0] q); always @ (posedge reset) q <= 4'b0000; always @ (posedge clk) q <= q + 1'b1; endmodule module main; reg clk; reg reset; wire [3:0] q; counter cnt(clk, reset, q); initial begin $display("Hello!"); clk = 0; reset = 1; #1; reset = 0; end always begin clk <= !clk; #1; end always @ (clk) $display("clk=%b q=%b", clk, q); endmodule lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10 Hello! clk=0 q=xxxx clk=1 q=0000 clk=0 q=0001 clk=1 q=0001 clk=0 q=0010 clk=1 q=0010 clk=0 q=0011 clk=1 q=0011 clk=0 q=0100 ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111 чего бы такого написать? :3
#WMHTJC (0+1) / @lexszero / 4658 дней назад
Реквестирую IDE или набор дискретных софтин под прыщи, чтобы поиграться с каким-нибудь hardware description language (пофиг какой, пока смотрю на верилог). На компиляцию пофиг (плисок никаких один хрен нету), хочу преимущественно крутой симулятор: задавать входы, смотреть времянки разных сигналов, искать гонки, етц. Пока попробую потыкать qucs, там вроде чото было на тему.
#U76RL4 (3+1) / @lexszero / 4658 дней назад
http://alixesby.livejournal.com/6392.html - особенности азиатского менеджмента. Одна из причин, почему я не пошел в самсунг.
#ATSJ8A (0+2) / @lexszero / 4658 дней назад
--
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.