Имбирь - твой спиритический овощ. Войти !bnw Сегодня Клубы
Привет, TbI — HRWKA! 1239.0 пользователей не могут ошибаться!
?6941
прекрасное6442
говно5903
говнорашка5512
хуита4706
anime3064
linux2649
music2633
bnw2597
рашка2565
log2352
ололо2151
pic1815
дунч1808
сталирасты1491
украина1439
быдло1436
bnw_ppl1409
дыбр1238
гімно1158

http://opencores.org/project,zet86
Реализация x86 SoC на Verilog для FPGA

#BX4563 (0) / @octagram / 4169 дней назад
Итак, первая попытка практического тыканья верилога привела к созданию brainfuck-машины с фон-неймановской организацией памяти: http://paste.pocoo.org/show/538134/ - исходник http://paste.pocoo.org/show/538136/ - пример работы (в файлик bf-machine.dump при этом валится полный трейс сигналов, который можно посмотреть gtkwave) Хелловорлд исполняется за 3309 тактов. Реализация достаточно кривая, забит болт на синтезируемость и тайминги (поел говна с гонками немного), но работает. Планируемые апдейты: - синтезируемость - конвееризация (хотя нахуя? и так все в доступ к памяти упирается) - попробовать VHDL
#J3OP66 (0) / @lexszero / 4652 дня назад
Чото не могу придумать, как реализовать брейнфаковые [ ] лучше, чем линейным поиском вперед/назад за соответствующее расстоянию между скобками количество тактов (обращение к памяти - один такт). БРЕЙНФАК СЛОЖНЫЙ!
#K3MIBI (0) / @lexszero / 4652 дня назад
lexs@nyapad ~/tmp/hdl $ cat counter.v module counter(input clk, input reset, output reg [3:0] q); always @ (posedge reset) q <= 4'b0000; always @ (posedge clk) q <= q + 1'b1; endmodule module main; reg clk; reg reset; wire [3:0] q; counter cnt(clk, reset, q); initial begin $display("Hello!"); clk = 0; reset = 1; #1; reset = 0; end always begin clk <= !clk; #1; end always @ (clk) $display("clk=%b q=%b", clk, q); endmodule lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10 Hello! clk=0 q=xxxx clk=1 q=0000 clk=0 q=0001 clk=1 q=0001 clk=0 q=0010 clk=1 q=0010 clk=0 q=0011 clk=1 q=0011 clk=0 q=0100 ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111 чего бы такого написать? :3
#WMHTJC (0+1) / @lexszero / 4652 дня назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.