↑↑↓↓←→←→ⒷⒶ Войти !bnw Сегодня Клубы
Привет, TbI — HRWKA! 1239.0 пользователей не могут ошибаться!
?6941
прекрасное6443
говно5904
говнорашка5512
хуита4706
anime3065
linux2649
music2633
bnw2597
рашка2565
log2352
ололо2152
pic1815
дунч1809
сталирасты1491
украина1439
быдло1436
bnw_ppl1409
дыбр1238
гімно1158

«Женщина — не человек, а всего лишь самка. Каждая женщина — девка, с которой можно обходиться, как вздумается. Ее жизнь стоит не больше, чем она получает за половое сношение»
#A49MW7 (1+1) / @lexszero / 4655 дней назад
lexs@nyapad ~/tmp/hdl $ cat counter.v module counter(input clk, input reset, output reg [3:0] q); always @ (posedge reset) q <= 4'b0000; always @ (posedge clk) q <= q + 1'b1; endmodule module main; reg clk; reg reset; wire [3:0] q; counter cnt(clk, reset, q); initial begin $display("Hello!"); clk = 0; reset = 1; #1; reset = 0; end always begin clk <= !clk; #1; end always @ (clk) $display("clk=%b q=%b", clk, q); endmodule lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10 Hello! clk=0 q=xxxx clk=1 q=0000 clk=0 q=0001 clk=1 q=0001 clk=0 q=0010 clk=1 q=0010 clk=0 q=0011 clk=1 q=0011 clk=0 q=0100 ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111 чего бы такого написать? :3
#WMHTJC (0+1) / @lexszero / 4657 дней назад
Последние сутки показали, что Юме Никки сотоварищи мне пока что противопоказаны. Надобно их поскорее выбросить из головы. Нахуй так жить, котаны?
#41JOB8 (1+1) / @l29ah / 4657 дней назад
Третьегном - добро. Он сподвиг-таки пачку пассивных прыщеёбов перейти на тайловые оконные менеджеры.
#VUOZ9Y (1+1) / @l29ah / 4659 дней назад
Inspired by #1716107@juick Покорми ЛексЗеро! Покорми ЛексЗеро, юзернейм!
#MXEKBC (1) / @lexszero / 4660 дней назад
http://habrahabr.ru/blogs/patent/136260/ Нет уж, лучше я останусь в рашке. Тут тебя хотя бы не выдёргивают за нарушение законов других банановых империй.
#ZVQQJQ (0+2) / @l29ah / 4661 день назад
У зимних ботинок отваливается подошва и в корпусе дырка. Пора покупать что-то новое?
#ZSI6O9 (7) / @corpse / 4661 день назад
Годно: /Сопрамат, тащем-то бесмысленная хуйня для программиста, если он не пишет CAD-ов, как и навык аналитического взятия интегралов. Просто программа образования не менялась с революции и то, что сейчас выходит из вузов - не программисты, а полное говно. Одно повсеместное использование Джавы и С++ и незнание теории категорий, теории типов, конструктивной математики, комбинаторного и лямбда-исчисления (включая типизированные варианты до исчисления конструкций) большинством программистов говорит о том, что CS в рашке нет. Берите интегралы дальше, ага, вы ведь инженеры, инженеры обязаны брать интегралы, интегралы сами не возьмутся./
#2847JO (10+2) / @ninesigns / 4661 день назад
I am the psychotherapist. Please, describe your problems. Each time you are finished talking, type RET twice. Hello Can you elaborate on that? No. I would appreciate it if you would continue. Fuck off. Why do you say fuck off? Because you are FUCKIN TEXT EDITOR.
#A48LYY (0+1) / @lexszero / 4662 дня назад
Goreshit - nyan (nnnyyyaaannn mix) (bonus track! awright!) кажется, я могу слушать лоликор дольше 10 секунд.
#KTFOMB (0) / @lexszero / 4662 дня назад
Собрать колесо - это легко!
#M5WOUH (0) / @l29ah / 4662 дня назад
Легенда чанов Вассерман оказываеццо стороннник возрождения совка... Мне как-то всегда было похуй на "иконы интернетов", но теперь понимаю, чем меня он подсознательно бесил.
#I6MNFW (11+1) / @demetrious / 4662 дня назад
http://okofinista.ru/vredonostnost_inn_i.....chipy.html Одни только названия статей чего стоят. И вообще сайт замечательный во многих отношениях, какие няшные упоротые!
#SQ3KOJ (0+1) / @lexszero / 4663 дня назад
Починил, воруйте. Надо было внимательнее читать, что отвечает костылю флешосервер.
#QAJSQ8 (1) / @l29ah / 4664 дня назад
Вот, кстати, меня тут кто-то спрашивал, почему котики стрёмные. http://cs10031.vkontakte.ru/u12072231/13.....a759f7.jpg
#O8OO1N (0+1) / @goren / 4664 дня назад
Теперь я знаю, почему вендобляди такие петушки: http://www.youtube.com/watch?v=e8M6S8EKbnU
#FHAFTJ (2) / @l29ah / 4665 дней назад
Но есть язык, лишённый большинства недостатков Scheme и обладающий рядом достоинств. Этот язык — Python. О нём и пойдёт речь.
#YR0LTZ (1+3) / @ninesigns / 4665 дней назад
--
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.